Skip to search formSkip to main contentSkip to account menu

Incisive

Known as: NC-SystemC, NC-VHDL, NC-Verilog 
Incisive is a suite of tools from Cadence Design Systems related to the design and verification of ASICs, SoCs, and FPGAs. Incisive is commonly… 
Wikipedia (opens in a new tab)

Papers overview

Semantic Scholar uses AI to extract papers important to this topic.
Highly Cited
2012
Highly Cited
2012
A new species of titi monkey, genus Callicebus Thomas, 1903, is described based on four individuals, one from a small tributary… 
Highly Cited
2011
Highly Cited
2011
Abstract Recent economic changes in India have coincided with a dramatic change in the concept of a ‘middle class’ in the south… 
Highly Cited
2010
Highly Cited
2010
A team of U.S. political geographers analyzes the secret Afghanistan war logs released by WikiLeaks.org. They offer the chance to… 
Highly Cited
2005
Highly Cited
2005
Using nonparametric, production-frontier methods, we decompose labor productivity growth into components attributable to… 
Highly Cited
2005
Highly Cited
2005
Hegemony and international law are often regarded as irreconcilable: international law is widely assumed to depend on a balance… 
Highly Cited
2004
Highly Cited
2004
A recent body of literature with the paradigm of market preserving federalism at its core contends that China is a de facto… 
Highly Cited
2001
Highly Cited
2001
The concept of gravitational collapse has fundamentally improved our understanding of orogenic processes. This concept has its…